Exportar registro bibliográfico

Desenvolvimento de uma interface de comunicação entre placas processadoras padrão ETX e placas-módulos de equipamentos da plataforma Vectura (2008)

  • Authors:
  • USP affiliated author: FIORELLI, GUILHERME DE OLIVEIRA - EESC
  • School: EESC
  • Subjects: CENTRAL TELEFÔNICA; VHDL
  • Keywords: Central telefônica; ETX; NGN; Plataforma Vectura; SS7; VHDL; Vectura platform
  • Language: Português
  • Abstract: ETX (Embedded Technology eXtended) é um padrão comercial de processamento do tipo "computer-on-module" (COM), altamente integrado e compacto. Cada COM de padrão ETX integra: um núcleo CPU (ou multi-núcleo), memória, grupos I/O comuns em PC's (serial, paralelo, etc.), USB, áudio, porta gráfica e Ethernet. Todos os sinais I / O e uma completa implementação de barramentos ISA e PCA são mapeados em quarto conectores de alta densidade na base da placa. Assim, as placas ETX são projetadas para serem inseridas numa placa-mãe e contêm recursos adicionais de memória, periféricos e interfaces. Esta padronização permite o desenvolvimento da placa mãe usando módulos ETX com placas-filhas e os módulos ETX podem ser fabricados por diferentes fabricantes e podem incorporar processadores mais avançados. O projeto de formatura consiste no desenvolvimento de uma interface de comunicação entre as placas módulo de uma central telefônica, denominada Plataforma Vectura, e as placas processadoras padrão ETX. O intuito de utilização desse tipo de placa é aumentar a capacidade de processamento das placas módulo, migração futura para uma comunicação via ethernet entre placas, e acompanhar a evolução do mercado, uma vez que ETX é um padrão comercial. Outro fato importante é que como existem muitos fabricantes dos dispositivos empregados, o fornecimento dessas placas fica garantido e também seu preço competitivo. Dessa forma, as placas ETX serão inseridas como placas periféricas numa placa mãe que contém recursos adicionais de memória, periféricos e interfaces. Essa placa mãe, juntamente com seus periféricos, forma o que denominamos aqui de placa módulo que dependendo de sua configuração, pode desempenhar vários papéis dentro de uma central telefônica, como o tratador de canal comum ou a módulo tronco digital. Esses módulos fazem parte de um equipamento denominado Vectura EdgeSwitch (VES) que por sua vez faz parte da Plataforma Vectura desenvolvido pelo CPqD (Centro de Pesquisa e Desenvolvimento em Telecomunicações) e comercializado pela empresa Trópico
  • Imprenta:

  • Download do texto completo

    Tipo Nome Link
    Versão Publicada Fiorelli_Guilherme_de_Oli... Direct link
    How to cite
    A citação é gerada automaticamente e pode não estar totalmente de acordo com as normas

    • ABNT

      FIORELLI, Guilherme de Oliveira. Desenvolvimento de uma interface de comunicação entre placas processadoras padrão ETX e placas-módulos de equipamentos da plataforma Vectura. 2008. Trabalho de Conclusão de Curso (Graduação) – Escola de Engenharia de São Carlos, Universidade de São Paulo, São Carlos, 2008. Disponível em: https://bdta.abcd.usp.br/directbitstream/520565c9-0bc7-491a-a78c-6b8c8a11baec/Fiorelli_Guilherme_de_Oliveira.pdf. Acesso em: 21 maio 2024.
    • APA

      Fiorelli, G. de O. (2008). Desenvolvimento de uma interface de comunicação entre placas processadoras padrão ETX e placas-módulos de equipamentos da plataforma Vectura (Trabalho de Conclusão de Curso (Graduação). Escola de Engenharia de São Carlos, Universidade de São Paulo, São Carlos. Recuperado de https://bdta.abcd.usp.br/directbitstream/520565c9-0bc7-491a-a78c-6b8c8a11baec/Fiorelli_Guilherme_de_Oliveira.pdf
    • NLM

      Fiorelli G de O. Desenvolvimento de uma interface de comunicação entre placas processadoras padrão ETX e placas-módulos de equipamentos da plataforma Vectura [Internet]. 2008 ;[citado 2024 maio 21 ] Available from: https://bdta.abcd.usp.br/directbitstream/520565c9-0bc7-491a-a78c-6b8c8a11baec/Fiorelli_Guilherme_de_Oliveira.pdf
    • Vancouver

      Fiorelli G de O. Desenvolvimento de uma interface de comunicação entre placas processadoras padrão ETX e placas-módulos de equipamentos da plataforma Vectura [Internet]. 2008 ;[citado 2024 maio 21 ] Available from: https://bdta.abcd.usp.br/directbitstream/520565c9-0bc7-491a-a78c-6b8c8a11baec/Fiorelli_Guilherme_de_Oliveira.pdf

    Últimas obras dos mesmos autores vinculados com a USP cadastradas na BDPI:

    Digital Library of Academic Works of Universidade de São Paulo     2012 - 2024